首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

这个错误怎么解决

这个错误怎么解决

ERROR:NgdBuild:604 - logical block
   'chipscope_ila_0/chipscope_ila_0/i_chipscope_ila_0' with type
   'chipscope_ila_0' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, or the misspelling of a type name. Symbol
   'chipscope_ila_0' is not supported in target 'spartan3a'.
返回列表